Ник:
Пароль:

Контакты

E-mail: info@starterkit.ru
тел.: +7 922 680-21-73
тел.: +7 922 680-21-74
Телеграм: t.me/starterkit_ru
Партнеры:
otladka.com.ua - г.Киев

Способы оплаты

User Info


Добро пожаловать,
Guest

Регистрация или входРегистрация или вход
Потеряли пароль?Потеряли пароль?

Ник:
Пароль:

ПользователейПользователей:0
Поисковых ботовПоисковых ботов:3
ГостейГостей:1

ОбновитьПодробнееВсегоВсего:4
Форум » starterkit.ru » Embedded Linux
imx233 + driver lms430
ADA007
Добавлено 01.02.2012 10:16 Редактировалось 01.02.2012 10:21 Сообщение: 11
ADA007
0

Пункты: 3113
Регистрация: 01.08.2011
Пол: Мужчина
Да. "А слона-то я и не заметил". SYNC у adv7125 выводится в IOG и все портит. Залепил его в 0 - стала картинка адекватной. TUX теперь выглядит так, как должен выглядеть.

По поводу сигнала Enable с проца. Во-первых, когда я снял осциллограмму, то увидел такое, на него и ориентировался.
т.е. видно, что после перепада из 0 в 1 Enable - у нас появляются данные. В ядре я ничего не исправлял по этому поводу, может это из-за патча linux-imx-sk_2.6.35_11.04.01.patch наложенного...

Мне кажется, что тупой десериализатор не получится, ибо захват цвета будет происходить не по событию, а просто...допустим во время работы пропадает питание FPGA и уже цвета будут перепутанными. Лутше все-таки к событию перепада привязываться.

Сейчас после изменений define выглядят так =>
Код

#define DOTCLK_H_ACTIVE 640*4
#define DOTCLK_H_PULSE_WIDTH 32*4
#define DOTCLK_HF_PORCH 16*4
#define DOTCLK_HB_PORCH 40*4
#define DOTCLK_H_WAIT_CNT (DOTCLK_H_PULSE_WIDTH + (3 * DOTCLK_HB_PORCH))
#define DOTCLK_H_PERIOD (DOTCLK_H_WAIT_CNT + DOTCLK_HF_PORCH + DOTCLK_H_ACTIVE)

#define DOTCLK_V_ACTIVE 480
#define DOTCLK_V_PULSE_WIDTH 2
#define DOTCLK_VF_PORCH 10
#define DOTCLK_VB_PORCH 33
#define DOTCLK_V_WAIT_CNT (DOTCLK_V_PULSE_WIDTH + DOTCLK_VB_PORCH)
#define DOTCLK_V_PERIOD (DOTCLK_VF_PORCH + DOTCLK_V_ACTIVE + DOTCLK_V_WAIT_CNT)


Еще заметил такую особенность....кто-то из двоих или adv7125 или EPM7064 неадекватно реагируют на белый цвет. Если поставить белую точку размера 11 в фотошопе на черном фоне - то отображение тупо пропадает и моник в режим сбережения энергии переходит. Если потом вывести картинку без белого - то моник просыпается и отображение появляется. Возможно я опрометчиво поставил стабилизатор LD1117-3.3 для питания их двоих. Сегодня осциллом буду смотреть.

Спасибо за редакцию под ненужный pwm и спящий режим...как разберусь с белым - так сразу вставлю.
Спуститься к концу Подняться к началу
Персональная информация
sasamy
Добавлено 01.02.2012 14:08 Редактировалось 01.02.2012 15:35 Сообщение: 12
sasamy
4.70

Пункты: 77030
Регистрация: 14.08.2009
Цитата
видно, что после перепада из 0 в 1 Enable - у нас появляются данные.


Странно - осциллограф естественнно не соврет, но я ничего не менял в полярности сигналов, все возможно что и баг в силиконе. В общем тут главное - что вы все правильно сделали по реальной картине.

Цитата

допустим во время работы пропадает питание FPGA и уже цвета будут перепутанными. Лутше все-таки к событию перепада привязываться.


да - согласен, синхронизировать положение валидных данных в потоке всеже нужно.
Кстати на будущее - всегда ставьте скобки в дефайнах при работе с константами, иначе рискуете получить граблями в лоб на ровном месте
#define DOTCLK_H_ACTIVE 640*4 <---

Например

Код

#include <stdio.h>

#define DOTCLK_HB_PORCH_SASA (12*4)
#define DOTCLK_HB_PORCH_ADA007 12*4

int main(void)
{
printf("SASA: %d\nADA007: %d\n", 48/DOTCLK_HB_PORCH_SASA, 48/DOTCLK_HB_PORCH_ADA007);

return 0;
}


Цитата

sasa@sasa-laptop:~/test$ cpp tst.c
# 2 "tst.c" 2

int main(void)
{
printf("SASA: %d\nADA007: %d\n", 48/(12*4), 48/12*4);

return 0;
}
sasa@sasa-laptop:~/test$ gcc tst.c && ./a.out
SASA: 1
ADA007: 16
Спуститься к концу Подняться к началу
Персональная информация
Форум » starterkit.ru » Embedded Linux