Warning: touch() [function.touch]: Unable to create file /home/starterkit/starterkit.ru/html/error.log because Permission denied in /home/starterkit/starterkit.ru/html/errorhandler.php on line 51
S3E + TFT - LPC - SK - FPGA - Форум - starterkit.ru
Ник:
Пароль:

Контакты

E-mail: info@starterkit.ru
тел.: +7 922 680-21-73
тел.: +7 922 680-21-74
Телеграм: t.me/starterkit_ru
Партнеры:
otladka.com.ua - г.Киев

Способы оплаты

User Info


Добро пожаловать,
Guest

Регистрация или входРегистрация или вход
Потеряли пароль?Потеряли пароль?

Ник:
Пароль:

ПользователейПользователей:0
Поисковых ботовПоисковых ботов:3
ГостейГостей:1

ОбновитьПодробнееВсегоВсего:4
Форум » starterkit.ru » FPGA
SK-LPC-S3E + TFT
Kuzemko
Добавлено 04.07.2011 09:16 Редактировалось 04.07.2011 09:36
0
Сообщение: 1
Kuzemko
0

Пункты: 370
Регистрация: 26.09.2009
Пол: Мужчина
После длительного перерыва, продолжил работу с платой.
Подключил к FPGA самодельную плату с TFT экраном. Портировал на этот экран модуль развертки от Jonathon W. Donaldson с opencores DOT org. Экран работает, при подключении "1" на входы RGB меняется цвет экрана).
Решил отобразить картинку, подключил в проекте image_gen_bram, тут случился затык.

http://paste.ubuntu.com/637762/
Кусок кода:
Код

...
ARCHITECTURE image_gen_bram_arch OF image_gen_bram IS

constant C_NUM_LCD_PIXELS : positive := 480; -- number of drawable pixels per line in the LCD

--Connecting signal wires between components
signal SINIT_wire : std_logic := '0';
signal ADDR_wire : std_logic_vector(C_BRAM_ADDR_WIDTH-1 downto 0) := (others => '0');

begin

image_RED_data : image_gen_bram_red
port map (
clka => CLK_LCD,
addra => ADDR_wire,

-- OUTPUTS --
douta => R
);
....


C_BRAM_ADDR_WIDTH : POSITIVE := 11; определено в модуле высшего уровня.

IP ядро Block Memory Generator дало такой template
Код
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
COMPONENT image_gen_bram_red
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)
);
END COMPONENT;
-- COMP_TAG_END ------ End COMPONENT Declaration ------------


Помогите решить проблему с
ERROR:Xst:2587 - Port <addra> of instance <image_RED_data> has different type in definition <image_gen_bram_red>.

Проект выложил здесь http://www.4shared.com/file/u1mt-2NL/TFT_FPGA_initial.html
Спуститься к концу Подняться к началу
Персональная информация
Форум » starterkit.ru » FPGA